目次CPLD入門


4ビット x 4チャネル セレクタ



このページでは4ビットで構成される4チャネルの入力データの1チャネルを選択する選択回路(セレクタ)を紹介します。
2ビットの選択信号により4チャネルの入力のいずれかが選択され、出力に現れます。

ピンの位置は指定していません。
CPLD(XC9536-PC44)を対象にしています。

ソースコード/解説

フィッティング・レポート


動作状態表
入力出力
SEL(1)SEL(0)Q3 - Q0
00Q = A
01Q = B
10Q = C
11Q = D